diff --git a/DRAM16XN.vhd b/DRAM16XN.vhd index 58742de..cae75f9 100644 --- a/DRAM16XN.vhd +++ b/DRAM16XN.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/chnlbond.vhd b/chnlbond.vhd index ff97911..8c16b80 100644 --- a/chnlbond.vhd +++ b/chnlbond.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/convert_30to15_fifo.vhd b/convert_30to15_fifo.vhd index e4cfe33..5779bb9 100644 --- a/convert_30to15_fifo.vhd +++ b/convert_30to15_fifo.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/decoder.vhd b/decoder.vhd index a0aa53d..8af8eb3 100644 --- a/decoder.vhd +++ b/decoder.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/dvi_decoder.vhd b/dvi_decoder.vhd index 305dac2..b594402 100644 --- a/dvi_decoder.vhd +++ b/dvi_decoder.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/dvi_encoder.vhd b/dvi_encoder.vhd index 3d185aa..5a8bff9 100644 --- a/dvi_encoder.vhd +++ b/dvi_encoder.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/dvi_package.vhd b/dvi_package.vhd index 56423af..96842c7 100644 --- a/dvi_package.vhd +++ b/dvi_package.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/encoder.vhd b/encoder.vhd index 67765a5..2c13cbc 100644 --- a/encoder.vhd +++ b/encoder.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/phsaligner.vhd b/phsaligner.vhd index 6582b7e..778aff0 100644 --- a/phsaligner.vhd +++ b/phsaligner.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE diff --git a/serdes_1_to_5_diff_data.vhd b/serdes_1_to_5_diff_data.vhd index 9989391..9a801e3 100644 --- a/serdes_1_to_5_diff_data.vhd +++ b/serdes_1_to_5_diff_data.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE @@ -203,7 +203,7 @@ begin end process; data_in_0: IBUFDS - generic map ( DIFF_TERM => DIFF_TERM ) + generic map ( DIFF_TERM => DIFF_TERM ) port map ( I => datain_p, IB => datain_n, O => data_in ); -- ---------------------------------------------------------- diff --git a/serdes_n_to_1.vhd b/serdes_n_to_1.vhd index e36be8d..253b216 100644 --- a/serdes_n_to_1.vhd +++ b/serdes_n_to_1.vhd @@ -1,16 +1,16 @@ -- ----------------------------------------------------------------------------- -- Copyright (c) 2013 Benjamin Krill --- +-- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: --- +-- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. --- +-- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE