sockit/fpga/syn/sockit.qsf_tmpl

746 lines
57 KiB
Plaintext

#### MAIN ##############################################################################
set_global_assignment -name FAMILY "Cyclone V"
set_global_assignment -name DEVICE 5CSXFC6D6F31C8ES
set_global_assignment -name TOP_LEVEL_ENTITY sockit
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1.2
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:03:48 MARCH 06, 2013"
set_global_assignment -name LAST_QUARTUS_VERSION 13.1.2
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 896
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
set_global_assignment -name AUTO_OPEN_DRAIN_PINS OFF
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN ON
#### HPS ###############################################################################
set_global_assignment -name UNIPHY_SEQUENCER_DQS_CONFIG_ENABLE ON
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
set_global_assignment -name ECO_REGENERATE_REPORT ON
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
set_global_assignment -name IOBANK_VCCIO 1.5V -section_id 4A
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3A
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7A
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7B
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7C
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7D
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|ureset|phy_reset_mem_stable_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|ureset|phy_reset_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uio_pads|dq_ddio[0].read_capture_clk_buffer -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_write_side[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_wraddress[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uio_pads|dq_ddio[1].read_capture_clk_buffer -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_write_side[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_wraddress[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uio_pads|dq_ddio[2].read_capture_clk_buffer -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_write_side[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_wraddress[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uio_pads|dq_ddio[3].read_capture_clk_buffer -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_write_side[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name GLOBAL_SIGNAL OFF -to soc_0|hps_0|hps_io|border|hps_sdram_inst|p0|umemphy|uread_datapath|reset_n_fifo_wraddress[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION_FOR_NON_GLOBAL_CLOCKS ON -to soc_0|hps_0|hps_io|border|hps_sdram_inst -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PLL_COMPENSATION_MODE DIRECT -to soc_0|hps_0|hps_io|border|hps_sdram_inst|pll0|fbout -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d0
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d1
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d2
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d3
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d4
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d5
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d6
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_d7
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_dir
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_clk
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_stp
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_usb1_inst_nxt
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_uart0_inst_tx
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_uart0_inst_rx
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_qspi_inst_io0
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_qspi_inst_io1
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_qspi_inst_io2
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_qspi_inst_io3
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_qspi_inst_ss0
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_qspi_inst_clk
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_clk_in
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_cmd
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d0
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d1
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d2
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d3
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d4
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d5
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d6
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_d7
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_pwren
set_instance_assignment -name io_standard "3.3-v lvcmos" -to hps_sdio_inst_clk
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim0_inst_miso
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim0_inst_mosi
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim0_inst_ss0
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim1_inst_clk
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim1_inst_miso
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim1_inst_mosi
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim1_inst_ss0
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_spim0_inst_clk
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_mdio
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_rxd0
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_rxd1
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_rxd2
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_rxd3
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_rx_clk
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_rx_ctl
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_txd0
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_txd1
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_txd2
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_txd3
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_tx_clk
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_tx_ctl
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_emac1_inst_mdc
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio09
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio35
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio48
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio53
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio54
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio55
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio56
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio61
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio62
set_instance_assignment -name io_standard "3.3-v lvttl" -to hps_gpio_inst_gpio00
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_ba -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dm -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_oct_rzqin -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hps_0_hps_io_hps_io_i2c1_inst_SDA
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hps_0_hps_io_hps_io_i2c1_inst_SCL
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[4] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[4] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[5] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[5] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[6] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[6] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[7] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[7] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[8] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[8] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[9] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[9] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[10] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[10] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[11] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[11] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[12] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[12] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[13] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[13] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[14] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[14] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[15] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[15] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[16] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[16] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[17] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[17] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[18] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[18] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[19] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[19] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[20] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[20] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[21] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[21] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[22] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[22] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[23] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[23] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[24] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[24] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[25] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[25] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[26] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[26] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[27] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[27] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[28] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[28] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[29] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[29] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[30] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[30] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dq[31] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dq[31] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dqs_n[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITHOUT CALIBRATION" -to hps_mem_ck -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITHOUT CALIBRATION" -to hps_mem_ck_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[10] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[11] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[12] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[13] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[14] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[4] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[5] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[6] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[7] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[8] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_a[9] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_ba[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_ba[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_ba[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_cas_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_cke -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_cs_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_odt -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_ras_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_we_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to hps_mem_reset_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dm[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dm[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dm[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to hps_mem_dm[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[10] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[11] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[12] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[13] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[14] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[4] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[5] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[6] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[7] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[8] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_a[9] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_ba[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_ba[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_ba[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_cas_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_ck -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_ck_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_cke -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_cs_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dm[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dm[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dm[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dm[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[10] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[11] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[12] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[13] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[14] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[15] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[16] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[17] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[18] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[19] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[20] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[21] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[22] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[23] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[24] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[25] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[26] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[27] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[28] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[29] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[30] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[31] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[4] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[5] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[6] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[7] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[8] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dq[9] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs_n[0] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs_n[1] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs_n[2] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_dqs_n[3] -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_odt -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_ras_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_reset_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name PACKAGE_SKEW_COMPENSATION ON -to hps_mem_we_n -entity soc_system -tag __hps_sdram_p0
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hps_i2c1_inst_sda
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hps_i2c1_inst_scl
### FPGA LOGIC
set_location_assignment PIN_Y26 -to clk_100
set_location_assignment PIN_K14 -to clk_50
set_instance_assignment -name IO_STANDARD "2.5 V" -to clk_100
set_instance_assignment -name IO_STANDARD "2.5 V" -to clk_50
set_location_assignment PIN_AA16 -to clk_top1
set_location_assignment PIN_AF14 -to clk_bot1
set_instance_assignment -name IO_STANDARD "1.5 V" -to clk_top1
set_instance_assignment -name IO_STANDARD "1.5 V" -to clk_bot1
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_a
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_ba
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_casn
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_cke
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_clk_n
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_clk_p
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_csn
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_dm
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_dq
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_dqs_n
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_dqs_p
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_odt
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_rasn
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_resetn
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to ddr3_fpga_wen
set_instance_assignment -name IO_STANDARD "1.5 V" -to ddr3_fpga_rzq
set_location_assignment PIN_AJ14 -to ddr3_fpga_a[0]
set_location_assignment PIN_AK14 -to ddr3_fpga_a[1]
set_location_assignment PIN_AH12 -to ddr3_fpga_a[2]
set_location_assignment PIN_AJ12 -to ddr3_fpga_a[3]
set_location_assignment PIN_AG15 -to ddr3_fpga_a[4]
set_location_assignment PIN_AH15 -to ddr3_fpga_a[5]
set_location_assignment PIN_AK12 -to ddr3_fpga_a[6]
set_location_assignment PIN_AK13 -to ddr3_fpga_a[7]
set_location_assignment PIN_AH13 -to ddr3_fpga_a[8]
set_location_assignment PIN_AH14 -to ddr3_fpga_a[9]
set_location_assignment PIN_AJ9 -to ddr3_fpga_a[10]
set_location_assignment PIN_AK9 -to ddr3_fpga_a[11]
set_location_assignment PIN_AK7 -to ddr3_fpga_a[12]
set_location_assignment PIN_AK8 -to ddr3_fpga_a[13]
set_location_assignment PIN_AG12 -to ddr3_fpga_a[14]
set_location_assignment PIN_AH10 -to ddr3_fpga_ba[0]
set_location_assignment PIN_AJ11 -to ddr3_fpga_ba[1]
set_location_assignment PIN_AK11 -to ddr3_fpga_ba[2]
set_location_assignment PIN_AH7 -to ddr3_fpga_casn
set_location_assignment PIN_AJ21 -to ddr3_fpga_cke
set_location_assignment PIN_AA15 -to ddr3_fpga_clk_n
set_location_assignment PIN_AA14 -to ddr3_fpga_clk_p
set_location_assignment PIN_AB15 -to ddr3_fpga_csn
set_location_assignment PIN_AH17 -to ddr3_fpga_dm[0]
set_location_assignment PIN_AG23 -to ddr3_fpga_dm[1]
set_location_assignment PIN_AK23 -to ddr3_fpga_dm[2]
set_location_assignment PIN_AJ27 -to ddr3_fpga_dm[3]
set_location_assignment PIN_AF18 -to ddr3_fpga_dq[0]
set_location_assignment PIN_AE17 -to ddr3_fpga_dq[1]
set_location_assignment PIN_AG16 -to ddr3_fpga_dq[2]
set_location_assignment PIN_AF16 -to ddr3_fpga_dq[3]
set_location_assignment PIN_AH20 -to ddr3_fpga_dq[4]
set_location_assignment PIN_AG21 -to ddr3_fpga_dq[5]
set_location_assignment PIN_AJ16 -to ddr3_fpga_dq[6]
set_location_assignment PIN_AH18 -to ddr3_fpga_dq[7]
set_location_assignment PIN_AK18 -to ddr3_fpga_dq[8]
set_location_assignment PIN_AJ17 -to ddr3_fpga_dq[9]
set_location_assignment PIN_AG18 -to ddr3_fpga_dq[10]
set_location_assignment PIN_AK19 -to ddr3_fpga_dq[11]
set_location_assignment PIN_AG20 -to ddr3_fpga_dq[12]
set_location_assignment PIN_AF19 -to ddr3_fpga_dq[13]
set_location_assignment PIN_AJ20 -to ddr3_fpga_dq[14]
set_location_assignment PIN_AH24 -to ddr3_fpga_dq[15]
set_location_assignment PIN_AE19 -to ddr3_fpga_dq[16]
set_location_assignment PIN_AE18 -to ddr3_fpga_dq[17]
set_location_assignment PIN_AG22 -to ddr3_fpga_dq[18]
set_location_assignment PIN_AK22 -to ddr3_fpga_dq[19]
set_location_assignment PIN_AF21 -to ddr3_fpga_dq[20]
set_location_assignment PIN_AF20 -to ddr3_fpga_dq[21]
set_location_assignment PIN_AH23 -to ddr3_fpga_dq[22]
set_location_assignment PIN_AK24 -to ddr3_fpga_dq[23]
set_location_assignment PIN_AF24 -to ddr3_fpga_dq[24]
set_location_assignment PIN_AF23 -to ddr3_fpga_dq[25]
set_location_assignment PIN_AJ24 -to ddr3_fpga_dq[26]
set_location_assignment PIN_AK26 -to ddr3_fpga_dq[27]
set_location_assignment PIN_AE23 -to ddr3_fpga_dq[28]
set_location_assignment PIN_AE22 -to ddr3_fpga_dq[29]
set_location_assignment PIN_AG25 -to ddr3_fpga_dq[30]
set_location_assignment PIN_AK27 -to ddr3_fpga_dq[31]
set_location_assignment PIN_W16 -to ddr3_fpga_dqs_n[0]
set_location_assignment PIN_W17 -to ddr3_fpga_dqs_n[1]
set_location_assignment PIN_AA18 -to ddr3_fpga_dqs_n[2]
set_location_assignment PIN_AD19 -to ddr3_fpga_dqs_n[3]
set_location_assignment PIN_V16 -to ddr3_fpga_dqs_p[0]
set_location_assignment PIN_V17 -to ddr3_fpga_dqs_p[1]
set_location_assignment PIN_Y17 -to ddr3_fpga_dqs_p[2]
set_location_assignment PIN_AC20 -to ddr3_fpga_dqs_p[3]
set_location_assignment PIN_AE16 -to ddr3_fpga_odt
set_location_assignment PIN_AH8 -to ddr3_fpga_rasn
set_location_assignment PIN_AK21 -to ddr3_fpga_resetn
set_location_assignment PIN_AJ6 -to ddr3_fpga_wen
set_location_assignment PIN_AG17 -to ddr3_fpga_rzq
set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 5B
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_b[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_blank_n
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_clk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_g[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_hs
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_r[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_sync_n
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to vga_vs
set_location_assignment PIN_Y21 -to vga_g[0]
set_location_assignment PIN_AA25 -to vga_g[1]
set_location_assignment PIN_AB26 -to vga_g[2]
set_location_assignment PIN_AB22 -to vga_g[3]
set_location_assignment PIN_AB23 -to vga_g[4]
set_location_assignment PIN_AA24 -to vga_g[5]
set_location_assignment PIN_AB25 -to vga_g[6]
set_location_assignment PIN_AE27 -to vga_g[7]
set_location_assignment PIN_W20 -to vga_clk
set_location_assignment PIN_AH3 -to vga_balnk_n
set_location_assignment PIN_AE28 -to vga_b[0]
set_location_assignment PIN_Y23 -to vga_b[1]
set_location_assignment PIN_Y24 -to vga_b[2]
set_location_assignment PIN_AG28 -to vga_b[3]
set_location_assignment PIN_AF28 -to vga_b[4]
set_location_assignment PIN_V23 -to vga_b[5]
set_location_assignment PIN_W24 -to vga_b[6]
set_location_assignment PIN_AF29 -to vga_b[7]
set_location_assignment PIN_AG5 -to vga_r[0]
set_location_assignment PIN_AA12 -to vga_r[1]
set_location_assignment PIN_AB12 -to vga_r[2]
set_location_assignment PIN_AF6 -to vga_r[3]
set_location_assignment PIN_AG6 -to vga_r[4]
set_location_assignment PIN_AJ2 -to vga_r[5]
set_location_assignment PIN_AH5 -to vga_r[6]
set_location_assignment PIN_AJ1 -to vga_r[7]
set_location_assignment PIN_AG2 -to vga_sync_n
set_location_assignment PIN_AC12 -to vga_vs
set_location_assignment PIN_AD12 -to vga_hs
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_adcdat
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_adclrck
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_bclk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_dacdat
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_daclrck
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_i2c_sclk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_i2c_sdat
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_mute
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to aud_xck
set_location_assignment PIN_AC27 -to aud_adcdat
set_location_assignment PIN_AG30 -to aud_adclrck
set_location_assignment PIN_AE7 -to aud_bclk
set_location_assignment PIN_AG3 -to aud_dacdat
set_location_assignment PIN_AH4 -to aud_daclrck
set_location_assignment PIN_AH30 -to aud_i2c_sclk
set_location_assignment PIN_AF30 -to aud_i2c_sdat
set_location_assignment PIN_AD26 -to aud_mute
set_location_assignment PIN_AC9 -to aud_xck
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to fan_ctrl
set_location_assignment PIN_AG27 -to fan_ctrl
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to irda_rxd
set_location_assignment PIN_AH2 -to irda_rxd
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to temp_cs_n
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to temp_sclk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to temp_mosi
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to temp_miso
set_location_assignment PIN_AF8 -to temp_cs_n
set_location_assignment PIN_AF9 -to temp_sclk
set_location_assignment PIN_AG7 -to temp_mosi
set_location_assignment PIN_AG1 -to temp_miso
#set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_clkin_n[1]
#set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_clkin_n[2]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_clkin_p[1]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_clkin_p[2]
#set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_clkout_n[1]
#set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_clkout_n[2]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_clkout_p[1]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_clkout_p[2]
set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_clk_in0
set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_clk_out0
#set_instance_assignment -name IO_STANDARD HCSL -to hsmc_ref_clk_n
set_instance_assignment -name IO_STANDARD HCSL -to hsmc_ref_clk_p
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[0]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[1]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[2]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[3]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[4]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[5]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[6]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[7]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[8]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[9]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[10]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[11]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[12]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[13]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[14]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[15]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_n[16]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[0]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[1]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[2]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[3]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[4]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[5]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[6]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[7]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[8]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[9]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[10]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[11]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[12]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[13]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[14]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[15]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_rx_p[16]
set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_scl
set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmc_sda
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[0]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[1]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[2]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[3]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[4]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[5]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[6]
#et_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[7]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[8]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[9]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[10]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[11]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[12]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[13]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[14]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[15]
#set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_n[16]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[0]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[1]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[2]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[3]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[4]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[5]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[6]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[7]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[8]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[9]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[10]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[11]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[12]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[13]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[14]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[15]
set_instance_assignment -name IO_STANDARD LVDS -to hsmc_tx_p[16]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[0]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[1]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[2]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[3]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[4]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[5]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[6]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_rx_p[7]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[0]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[1]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[2]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[3]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[4]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[5]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[6]
set_instance_assignment -name IO_STANDARD "1.5-V PCML" -to hsmc_gxb_tx_p[7]
set_location_assignment PIN_AA26 -to hsmc_clkin_p[1]
set_location_assignment PIN_H15 -to hsmc_clkin_p[2]
set_location_assignment PIN_E7 -to hsmc_clkout_p[1]
set_location_assignment PIN_A11 -to hsmc_clkout_p[2]
set_location_assignment PIN_J14 -to hsmc_clk_in0
set_location_assignment PIN_AD29 -to hsmc_clk_out0
set_location_assignment PIN_AE2 -to hsmc_gxb_rx_p[0]
set_location_assignment PIN_AC2 -to hsmc_gxb_rx_p[1]
set_location_assignment PIN_AA2 -to hsmc_gxb_rx_p[2]
set_location_assignment PIN_W2 -to hsmc_gxb_rx_p[3]
set_location_assignment PIN_U2 -to hsmc_gxb_rx_p[4]
set_location_assignment PIN_R2 -to hsmc_gxb_rx_p[5]
set_location_assignment PIN_N2 -to hsmc_gxb_rx_p[6]
#set_location_assignment PIN_L2 -to hsmc_gxb_rx_p[7]
set_location_assignment PIN_J2 -to hsmc_gxb_rx_p[7]
set_location_assignment PIN_AD4 -to hsmc_gxb_tx_p[0]
set_location_assignment PIN_AB4 -to hsmc_gxb_tx_p[1]
set_location_assignment PIN_Y4 -to hsmc_gxb_tx_p[2]
set_location_assignment PIN_V4 -to hsmc_gxb_tx_p[3]
set_location_assignment PIN_T4 -to hsmc_gxb_tx_p[4]
set_location_assignment PIN_P4 -to hsmc_gxb_tx_p[5]
set_location_assignment PIN_M4 -to hsmc_gxb_tx_p[6]
#set_location_assignment PIN_K4 -to hsmc_gxb_tx_p[7]
set_location_assignment PIN_H4 -to hsmc_gxb_tx_p[7]
#set_location_assignment PIN_W7 -to hsmc_ref_clk_n
set_location_assignment PIN_P9 -to hsmc_ref_clk_p
#set_location_assignment PIN_T8 -to hsmc_ref_clk_p
set_location_assignment PIN_G12 -to hsmc_rx_p[0]
#set_location_assignment PIN_G11 -to hsmc_rx_n[0]
set_location_assignment PIN_K12 -to hsmc_rx_p[1]
#set_location_assignment PIN_J12 -to hsmc_rx_n[1]
set_location_assignment PIN_G10 -to hsmc_rx_p[2]
#set_location_assignment PIN_F10 -to hsmc_rx_n[2]
set_location_assignment PIN_J10 -to hsmc_rx_p[3]
#set_location_assignment PIN_J9 -to hsmc_rx_n[3]
set_location_assignment PIN_K7 -to hsmc_rx_p[4]
#set_location_assignment PIN_K8 -to hsmc_rx_n[4]
set_location_assignment PIN_J7 -to hsmc_rx_p[5]
#set_location_assignment PIN_H7 -to hsmc_rx_n[5]
set_location_assignment PIN_H8 -to hsmc_rx_p[6]
#set_location_assignment PIN_G8 -to hsmc_rx_n[6]
set_location_assignment PIN_F9 -to hsmc_rx_p[7]
#set_location_assignment PIN_F8 -to hsmc_rx_n[7]
set_location_assignment PIN_F11 -to hsmc_rx_p[8]
#set_location_assignment PIN_E11 -to hsmc_rx_n[8]
set_location_assignment PIN_B6 -to hsmc_rx_p[9]
#set_location_assignment PIN_B5 -to hsmc_rx_n[9]
set_location_assignment PIN_E9 -to hsmc_rx_p[10]
#set_location_assignment PIN_D9 -to hsmc_rx_n[10]
set_location_assignment PIN_E12 -to hsmc_rx_p[11]
#set_location_assignment PIN_D12 -to hsmc_rx_n[11]
set_location_assignment PIN_D11 -to hsmc_rx_p[12]
#set_location_assignment PIN_D10 -to hsmc_rx_n[12]
set_location_assignment PIN_C13 -to hsmc_rx_p[13]
#set_location_assignment PIN_B12 -to hsmc_rx_n[13]
set_location_assignment PIN_F13 -to hsmc_rx_p[14]
#set_location_assignment PIN_E13 -to hsmc_rx_n[14]
set_location_assignment PIN_H14 -to hsmc_rx_p[15]
#set_location_assignment PIN_G13 -to hsmc_rx_n[15]
set_location_assignment PIN_F15 -to hsmc_rx_p[16]
#set_location_assignment PIN_F14 -to hsmc_rx_n[16]
set_location_assignment PIN_A9 -to hsmc_tx_p[0]
#set_location_assignment PIN_A8 -to hsmc_tx_n[0]
set_location_assignment PIN_E8 -to hsmc_tx_p[1]
#set_location_assignment PIN_D7 -to hsmc_tx_n[1]
set_location_assignment PIN_G7 -to hsmc_tx_p[2]
#set_location_assignment PIN_F6 -to hsmc_tx_n[2]
set_location_assignment PIN_D6 -to hsmc_tx_p[3]
#set_location_assignment PIN_C5 -to hsmc_tx_n[3]
set_location_assignment PIN_D5 -to hsmc_tx_p[4]
#set_location_assignment PIN_C4 -to hsmc_tx_n[4]
set_location_assignment PIN_E3 -to hsmc_tx_p[5]
#set_location_assignment PIN_E2 -to hsmc_tx_n[5]
set_location_assignment PIN_E4 -to hsmc_tx_p[6]
#set_location_assignment PIN_D4 -to hsmc_tx_n[6]
set_location_assignment PIN_C3 -to hsmc_tx_p[7]
#set_location_assignment PIN_B3 -to hsmc_tx_n[7]
set_location_assignment PIN_D1 -to hsmc_tx_n[8]
#set_location_assignment PIN_E1 -to hsmc_tx_p[8]
set_location_assignment PIN_D2 -to hsmc_tx_p[9]
#set_location_assignment PIN_C2 -to hsmc_tx_n[9]
set_location_assignment PIN_B2 -to hsmc_tx_p[10]
#set_location_assignment PIN_B1 -to hsmc_tx_n[10]
set_location_assignment PIN_A4 -to hsmc_tx_p[11]
#set_location_assignment PIN_A3 -to hsmc_tx_n[11]
set_location_assignment PIN_A6 -to hsmc_tx_p[12]
#set_location_assignment PIN_A5 -to hsmc_tx_n[12]
set_location_assignment PIN_C7 -to hsmc_tx_p[13]
#set_location_assignment PIN_B7 -to hsmc_tx_n[13]
set_location_assignment PIN_C8 -to hsmc_tx_p[14]
#set_location_assignment PIN_B8 -to hsmc_tx_n[14]
set_location_assignment PIN_C12 -to hsmc_tx_p[15]
#set_location_assignment PIN_B11 -to hsmc_tx_n[15]
set_location_assignment PIN_B13 -to hsmc_tx_p[16]
#set_location_assignment PIN_A13 -to hsmc_tx_n[16]
set_location_assignment PIN_C10 -to hsmc_d[0]
set_location_assignment PIN_H13 -to hsmc_d[1]
set_location_assignment PIN_C9 -to hsmc_d[2]
set_location_assignment PIN_H12 -to hsmc_d[3]
set_location_assignment PIN_AA28 -to hsmc_scl
set_location_assignment PIN_AE29 -to hsmc_sda
set_location_assignment PIN_W25 -to user_dipsw_fpga[0]
set_location_assignment PIN_V25 -to user_dipsw_fpga[1]
set_location_assignment PIN_AC28 -to user_dipsw_fpga[2]
set_location_assignment PIN_AC29 -to user_dipsw_fpga[3]
set_instance_assignment -name IO_STANDARD "2.5 V" -to user_dipsw_fpga[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to user_dipsw_fpga[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to user_dipsw_fpga[2]
set_instance_assignment -name IO_STANDARD "2.5 V" -to user_dipsw_fpga[3]
set_location_assignment PIN_AF10 -to user_led_fpga[0]
set_location_assignment PIN_AD10 -to user_led_fpga[1]
set_location_assignment PIN_AE11 -to user_led_fpga[2]
set_location_assignment PIN_AD7 -to user_led_fpga[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_led_fpga[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_led_fpga[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_led_fpga[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_led_fpga[3]
set_location_assignment PIN_AE9 -to user_pb_fpga[0]
set_location_assignment PIN_AE12 -to user_pb_fpga[1]
set_location_assignment PIN_AD9 -to user_pb_fpga[2]
set_location_assignment PIN_AD11 -to user_pb_fpga[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_pb_fpga[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_pb_fpga[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_pb_fpga[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to user_pb_fpga[3]
#############################################################################################
## FILES
#############################################################################################