sockit/fpga/top/sockit.qip

3 lines
167 B
Plaintext

set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "sockit.vhd"]
set_global_assignment -name SDC_FILE [file join $::quartus(qip_path) "sockit.sdc"]